Preguntas etiquetadas con fpga

13
¿Qué sucede cuando un FPGA está "programado"?

Por lo que entiendo, el proceso de programación de un FPGA viene en dos partes: Codifique la descripción del hardware en bits que el FPGA pueda entender (es decir, escriba algunos HDL y compílelos) Cargue el HDL compilado en el FPGA. Mi pregunta es: "¿Qué hace el FPGA con el HDL compilado?"....

13
¿Usando SVN con Xilinx Vivado?

Acabo de decir que uso Vivado en un nuevo proyecto y me gustaría poner los archivos del proyecto en SVN. Vivado parece crear todos los archivos del proyecto bajo el nombre del proyecto (por ejemplo, proj1): /<path to the project>/proj1/ proj1.xpr proj1.srcs/ constrs_1/ new/...

12
¿Es viable un FPGA para tal proyecto?

Actualmente estoy trabajando en Super OSD, un proyecto de visualización en pantalla. http://code.google.com/p/super-osd tiene todos los detalles. En este momento estoy usando una MCU dsPIC para hacer el trabajo. Este es un DSP muy potente (40 MIPS @ 80 MHz, operaciones de un solo ciclo de tres...

12
recomendaciones de libros sobre FPGA [cerrado]

Cerrado. Esta pregunta está fuera de tema . Actualmente no está aceptando respuestas. ¿Quieres mejorar esta pregunta? Actualice la pregunta para que sea sobre el tema para el intercambio de pila de ingeniería eléctrica. Cerrado hace 2 años . ¿Qué títulos...

12
Cheap FPGA dev board [cerrado]

Cerrado. Esta pregunta está fuera de tema . Actualmente no está aceptando respuestas. ¿Quieres mejorar esta pregunta? Actualice la pregunta para que sea sobre el tema para el intercambio de pila de ingeniería eléctrica. Cerrado hace 4 años . Quiero...

12
Número entre corchetes en el esquema FPGA

Estoy estudiando los esquemas de una placa de desarrollo FPGA. He notado que muchos pines tienen un número entre corchetes. Adjunto una captura de pantalla de una parte de los esquemas, con estos números marcados con un círculo en verde. Además, a la derecha del círculo verde, hay un pin con [2,5]...

11
¿Cuándo es mejor usar representaciones VECTOR vs INTEGER?

En el hilo de comentarios sobre una respuesta a esta pregunta: Resultados incorrectos en la entidad VHDL se dijo: "Con los enteros no tienes control o acceso a la representación lógica interna en el FPGA, mientras que SLV te permite hacer trucos como utilizar la cadena de transporte de manera...

11
Tablero FPGA sugerido [cerrado]

Cerrado. Esta pregunta está fuera de tema . Actualmente no está aceptando respuestas. ¿Quieres mejorar esta pregunta? Actualice la pregunta para que sea sobre el tema para el intercambio de pila de ingeniería eléctrica. Cerrado el año pasado . Este es mi...

11
FPGA: cuenta atrás o cuenta atrás?

Estoy aprendiendo a usar un FPGA (placa de desarrollo de Papilio, que tiene un xilinx spartan3e, usando vhdl). Necesito dividir un pulso entrante por un número (codificado). Puedo ver 3 opciones, aproximadamente, como pseudocódigo (usando 10 cuentas como ejemplo): Inicialice a 0, en el flanco...

11
Ejemplo de código para filtros FIR / IIR en VHDL?

Estoy tratando de comenzar con DSP en mi placa Spartan-3. Hice una placa AC97 con un chip de una placa base antigua, y hasta ahora pude hacer ADC, multiplicar las muestras por un número <1 (disminuir el volumen) y luego DAC. Ahora me gustaría hacer algunas cosas básicas de DSP, como un filtro...

11
¿Proyectos novatos en un FPGA?

Bloqueado . Esta pregunta y sus respuestas están bloqueadas porque la pregunta está fuera de tema pero tiene un significado histórico. Actualmente no acepta nuevas respuestas o interacciones. Me faltan dos semanas para completar mi primer curso de diseño de lógica...