Ejemplo de código para filtros FIR / IIR en VHDL?

Estoy tratando de comenzar con DSP en mi placa Spartan-3. Hice una placa AC97 con un chip de una placa base antigua, y hasta ahora pude hacer ADC, multiplicar las muestras por un número <1 (disminuir el volumen) y luego DAC. Ahora me gustaría hacer algunas cosas básicas de DSP, como un filtro...