Preguntas etiquetadas con fpga

11
¿Proyectos novatos en un FPGA?

Bloqueado . Esta pregunta y sus respuestas están bloqueadas porque la pregunta está fuera de tema pero tiene un significado histórico. Actualmente no acepta nuevas respuestas o interacciones. Me faltan dos semanas para completar mi primer curso de diseño de lógica...

11
Ejemplo de código para filtros FIR / IIR en VHDL?

Estoy tratando de comenzar con DSP en mi placa Spartan-3. Hice una placa AC97 con un chip de una placa base antigua, y hasta ahora pude hacer ADC, multiplicar las muestras por un número <1 (disminuir el volumen) y luego DAC. Ahora me gustaría hacer algunas cosas básicas de DSP, como un filtro...

10
¿Qué es la serie XMOS?

Ok, recientemente he visto aparecer el nombre XMOS en algunos lugares. He buscado en su sitio web y buscado en línea, pero no puedo entender qué es. ¿Así que qué es lo? Parece ser un cruce entre un microcontrolador y un FPGA? También busqué en su sitio y no pude ver nada que pudiera entender (solo...

10
Enlace FPGA a memoria externa

Estoy tratando de usar la memoria ram celular en la placa de desarrollo Nexys 4 FPGA . Estoy usando Xilinx Vivado y me gustaría un procesador de núcleo blando Microblaze para poder realizar lecturas y escrituras. Hasta ahora he creado el procesador en un diseño de bloque. Después de mucho buscar...

10
¿Qué es un "medio pestillo" en un FPGA?

En un artículo sobre FPGA de radiación dura me encontré con esta oración: "Otra preocupación con respecto a los dispositivos Virtex son los medios pestillos. Los medios pestillos a veces se usan dentro de estos dispositivos para constantes internas, ya que esto es más eficiente que usar la...

10
tiempo de proceso en FPGA

Soy nuevo en fpgas, y hay algunas sutilezas de temporización que no estoy seguro de entender: si todos mis procesos sincrónicos se activan en el mismo borde, eso significa que mis entradas se 'capturan' en un borde ascendente, y mi salidas cambian en .. el mismo borde? el siguiente borde...

10
Tubería MD5 VHDL

Estoy tratando de implementar una tubería MD5 de 3 etapas de acuerdo con este enlace . En particular, los algoritmos en la página 31. También hay otro documento que describe el reenvío de datos. Esto se hace en un FPGA (Terasic DE2-115). No hay esquemas en este proyecto, solo código VHDL. library...

10
Usando ambos bordes de un reloj

Estoy programando un Altera Cyclone IV con Verilog y Quartus II. En mi diseño, me gustaría usar ambos bordes de un reloj para poder dividir el reloj por un factor impar con un ciclo de trabajo del 50%. Aquí hay un fragmento de mi código: always @(posedge low_jitter_clock_i or negedge...

10
¿Cómo uso un sensor CCD desechado de un escáner?

Obtuve un escáner antiguo sin adaptador de corriente. Lo conecté a algo con un adaptador compatible, pero aparentemente este escáner en particular está muy vinculado a su fuente de alimentación (a pesar de que se supone que debe ser de 12 V CC). Hubo numerosos informes en línea de que no funcionaba...

10
¿Cómo crear un clon Ambilight basado en FPGA?

Algunos antecedentes rápidos: Ambilight es un sistema en algunos televisores Philips que analiza la información de color en la pantalla y luego configura algunos LED en la parte posterior de la pantalla para proyectar el color de la pantalla en la pared. Es un efecto bastante ingenioso. Ahora hay...

10
Linux integrado en FPGA

¿Quieres mejorar esta publicación? Proporcione respuestas detalladas a esta pregunta, incluidas citas y una explicación de por qué su respuesta es correcta. Las respuestas sin suficiente detalle pueden ser editadas o eliminadas. Tengo una experiencia muy limitada con...