Preguntas etiquetadas con hdl

157
¿Cuánto cuesta tener un ASIC personalizado?

He navegado por varias webs de fabricantes de ASIC, pero no he encontrado un número real. Supongo que habría un costo fijo asociado con la creación de máscaras y tal, y luego habrá un costo por unidad. Nota: que realmente no quiero tener un ASIC hecho, solo tengo

28
VHDL: conversión de un tipo INTEGER a un STD_LOGIC_VECTOR

Construí un contador mod-16, y el resultado de salida es un INTEGER (todos los ejemplos que vi usaban INTEGER). Construí un decodificador de pantalla hexadecimal a 7 segmentos, y su entrada es un STD_LOGIC_VECTOR (lo escribió de esa manera porque era fácil mapear la tabla de verdad). Me gustaría...

25
VHDL: componente vs entidad

Me pregunto cuál es la diferencia entre un componente y una entidad. Me gustaría saber en qué casos es mejor usar componentes en lugar de entidades. Muchas

24
¿Cómo aprendo HDL?

Tengo un curso de diseño digital en este semestre y me encanta. Ahora sé que la mayor parte del trabajo en sistemas integrados y diseño digital se realiza primero en simuladores de computadora y luego se implementa utilizando hardware. Entonces me preguntaba cómo debería aprender sobre HDL. Tengo...

24
RTL vs HDL? Cual es la diferencia

¿Cuál es la principal diferencia entre RTL y HDL? Para ser sincero, lo busqué / busqué en Google, pero la gente está dividida en sus opiniones. Recuerdo que uno decía que HDL es el lenguaje informático utilizado para describir un circuito digital y, cuando es sintetizable, se considera...

24
std_logic o std_ulogic?

Parece que el mundo ha decidido que std_logic(y std_logic_vector) son la forma predeterminada de representar bits en VHDL. La alternativa sería std_ulogic, que no está resuelta. Esto me sorprende porque por lo general, estás no describir un bus , por lo que usted no quiere que los conductores...

22
VHDL que puede dañar FPGA

Leí en alguna parte que un código VHDL incorrecto puede provocar daños FPGA. ¿Es posible dañar un FPGA con código VHDL? ¿Qué tipo de condiciones causarían esto y cuáles son los peores

22
¿Por qué los pestillos inferidos son malos?

Mi compilador se queja de pestillos inferidos en mis bucles combinatorios ( always @(*), en Verilog). También me dijeron que los pestillos inferidos deberían evitarse preferiblemente. ¿Qué es exactamente lo que está mal con los pestillos inferidos? Ciertamente hacen que los lazos combinatorios...

19
VHDL IDE para un entorno GNU / Linux

Tengo que estudiar VHDL desde 0 y me gustaría tener una opción que se ejecute bajo un kernel de Linux en lugar de NT / Windows: ¿algún consejo? También puedo apreciar algunos buenos enlaces a buenos recursos VHDL para principiantes,

18
Verificación de CPU suave

Actualmente estoy en el proceso de diseñar una CPU simple en VHDL usando Xilinx ISE e ISIM. La parte de diseño está yendo notablemente bien, pero parece que no puedo encontrar una manera de hacer la verificación de manera consistente. En este momento tengo un banco de pruebas VHDL que actualizo...

17
VHDL: ¿enteros para síntesis?

Estoy un poco confundido sobre si debería usar enteros en VHDL para señales de síntesis y puertos, etc. Uso std_logic en los puertos de nivel superior, pero internamente estaba usando enteros a distancia en todo el lugar. Sin embargo, me topé con algunas referencias a personas que dicen que solo...

16
Proyecto para aprender VHDL

Soy un estudiante de EE y puedo escribir programas [al menos simples] en más idiomas de los que tengo en los dedos. Acabo de empezar a aprender VHDL y me preguntaba qué sería un buen proyecto para conocer realmente el idioma y las herramientas relevantes. Tengo problemas para encontrar uno porque...