¿Cómo usar Verilog HDL en Ubuntu?

8

Intenté comenzar un pequeño curso con algunos ejemplos en Verilog Hdl y después de pasar mucho tiempo buscando el mejor simulador o IDE para practicar con algunos ejemplos, no pude descubrir cómo usarlo en Ubuntu 12.04

Entonces, tengo dos preguntas:

  1. ¿Cómo puedo usar Verilog en Ubuntu 12.04?

  2. ¿Cuál es el mejor simulador (o IDE) disponible para Linux?

amrro
fuente

Respuestas:

3

Puede descargar el simulador Verilog Linux de SynpatiCAD, que es compatible con Ubuntu. Incluye un simulador de línea de comando y un IDE gráfico. Después de instalarlo, puede ejecutar la herramienta y solicitar una licencia gratuita de 6 meses para el simulador.

Dan Notestein
fuente
5

¿Ayuda esta publicación: Verilog y VHDL en Linux (Ubuntu) ?

Recomienda un uso combinado de Icarus Verilog ( iverilogen repositorios) para la simulación Verilog, GHDL para simulación VHDL y GTKWave ( gtkwaveen repositorios) para la visualización de formas de onda.

Si desea un editor Verilog basado en Eclipse, pruebe veditor .

Tom Regner
fuente
pero el Veditor no se abre, lo abro con JDK6 y no respondo o hay otra forma de iniciarlo
amrro
No es un producto independiente, sino un complemento de eclipse; instale eclipse, luego siga las instrucciones aquí: sourceforge.net/apps/mediawiki/veditor/…
Tom Regner
ok, quiero otro favor, ¿podrías actualizar tu respuesta sobre cómo instalar icarus verilog ?, no puedo instalarlo
amrro
ghdl dame ese error ... El paquete ghdl no está disponible, pero otro paquete hace referencia a él. Esto puede significar que falta el paquete, ha quedado obsoleto o solo está disponible de otra fuente E: El paquete 'ghdl' no tiene candidato para la instalación
amrro
Ah - encontrado mail.gna.org/public/ghdl-discuss/2012-04/msg00017.html ; debido a problemas de compilación, ghdl se eliminó del cajero automático debian, y por lo tanto también en ubuntu a partir del 12.04; es posible que desee intentar compilarlo usted mismo (e instalarlo a través de checkinstall), pero hablar / escribir sobre eso está más allá de lo que mi tiempo me permite
Tom Regner
1

También puede usar los entornos IDE para el desarrollo de FPGA. Altera Quartus para Altera (Intel) FPGA o Xilinx ISE para dispositivos Xilinx. Estos entornos le permiten escribir código fuente VHDL y Verilog e incluyen simuladores.

jotego
fuente
Personalmente he estado usando Quartus Prime, IDE lo suficientemente bueno, aunque si vas a descargar el código verilog en FPGA real, debes editar las reglas de udev para que el dispositivo sea reconocido.
Sergiy Kolodyazhnyy
1
sudo apt-get install iverilog;

sudo apt-get install gtkwave;

Para ver si todo funciona correctamente, hagamos el hola-mundo de verilog.

nano hello.v

luego escribe el siguiente código de hello-world

    module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule

Luego compila

iverilog hello.v -o hello

y finalmente ejecuta tu código

vvp hello
usuario10842694
fuente
-1

Pruebe este comando en una ventana de terminal:

sudo apt-get install gplcver
operador de linux
fuente
Esto no responde la pregunta. Por favor, elabore su respuesta. ¿Qué es gplcver? ¿Cómo usarlo?
Eric Carvalho